Android

· Devlog/Unity
유니티 에디터 상에서 에셋번들로 불러온 모델링 쉐이더가 핑크색으로 보일 때 게임의 데이터 분리를 위해 에셋번들을 제작하고, 캐릭터를 불러오는 테스트 작업을 진행중입니다. 문제점프로젝트를 빌드 한 뒤, 안드로이드 기기상에서 테스트할때는 정상적으로 보이나, 유니티 에디터상에서 테스트를 할땐 모델링 쉐이더가 누락된것 처럼 핑크색으로 표시됩니다. ( * 만약 모바일에서도 핑크색으로 표시된다면, 쉐이더 자체가 모바일을 지원하지 않거나, 누락된 상태이니 다시 한번 확인해보세요. ) [좌측이 에셋번들로 불러온 모델링, 우측은 씬에 곧바로 배치해놓은 모델링 ] 해결방안우리가 개발하면서 사용하는 유니티 에디터의 환경은 안드로이드가 아니라 Standalone ( 윈도우 / 맥 ) 환경 입니다. 그렇기에 Standalone..
* 증상 - 빌드시 다음과 같은 에러 발생 Error:Execution failed for task ':app:transformClassesWithJarMergingForDebug'.> com.android.build.api.transform.TransformException: java.util.zip.ZipException: duplicate entry: com/google/android/gms/common/api/zza.class * 해결 - build.gradle 에서 firebase core 추가. - GPG와 동일한 버전으로 변경. 오류가 날때 firebase version 은 11.0.4 최신으로 사용중인 상태. if (!project.hasProperty('gms_library_version..
* 알파 테스트 준비 - 기본적인 앱 생성 후 좌측 메뉴에 보면, 처음엔 느낌표 [ ! ] 가 떠있는 메뉴들이 몇개 보입니다.- 필수 정보로 요구하는 부분들은 모두 입력 혹은 업로드 해야 앱 출시가 가능합니다. - 알파테스트도 이 최소한의 입력사항을 모두 충족 시켜야 가능. [ 알파 버전 출시 ] - 알파테스트용 APK를 업로드 하고, 테스트를 진행해보려했으나, [ 알파 출시 시작 ] 버튼이 활성화 되지 않습니다. 좌측 메뉴들 중에 느낌표 [ ! ] 가 떠있는 구간에서 필수 사항을 입력하지 않아서 발생하는 문제입니다. [ 개인정보처리방침 ] - 특정 권한이나, 개인정보를 관리하게되면, 개인정보관련 약관을 입력하라고 합니다. 복잡하게만 보이는 약관은 개인정보보호 종합포털에서 제공하는 자동완성 기능으로 만드..
signal 11 (SIGSEGV), code 1 (SEGV_MAPERR), fault addr 00000008 ㅁ 오류 내용- JNI 메모리 엑세스 오류로 인한 에러. - 안드로이드에서 생성한 String 배열을 SendMessage를 통해 유니티로 전달하는 과정중 전달할 String 배열값이 간헐적으로 null이 되는경우가 있었는데, 해당 경우에 오류 발생.- SendMessage 를 통하여 유니티에 데이터를 전달할때 해당 데이터가 null 값이 아닌지 체크. ㅁ 참고 링크 - http://hashcode.co.kr/questions/1567/fatal-signal-11-sigsegv-at-0x0000000c-code1-%EA%B4%80%EB%A0%A8 - http://blog.naver.com/Po..
12345678910111213141516171819202122232425262728293031public String[] GetAllMp3Path() { // MP3 경로를 가질 문자열 배열. String[] resultPath = null; // 외장 메모리 접근 권한을 가지고 있는지 확인. ( Marshmallow 이상 ) // mAcitivity == Main Activity if(ContextCompat.checkSelfPermission(mActivity,Manifest.permission.READ_EXTERNAL_STORAGE) == PackageManager.PERMISSION_GRANTED) { String selectionMimeType = MediaStore.Files.FileColu..
Damien.Lee
'Android' 태그의 글 목록